Perbandingan Pemodelan Embedded System Berbasis Register Transfer Level Dan Transaction Level Modeling Dengan Studi Kasus : Single-Purpose Processor Untuk Least Common Multiple<br><br>The Comparison Of Embedded System Modeling Based On Register Transfer L

Daniel Anggoro Setiawan

Informasi Dasar

113078083
004
Karya Ilmiah - Skripsi (S1) - Reference

ABSTRAKSI: Perkembangan peralatan elektronik modern saat ini memiliki fungsionalitas yang canggih namun juga memiliki ukuran yang kecil. Hal ini dipicu oleh masih berlakunya Hukum Moore sehingga kapasitas pemrosesan suatu chip dapat meningkat. Namun demikian kapasitas pemrosesan ini perlu diimbangi oleh produktifitas perancangan. Salah satu cara mengatasi kompleksitas desain sistem adalah dengan menaikkan tingkat abstraksi dari suatu sistem.

Alur desain pemodelan tradisional Register Transfer Level (RTL) tidak mampu memenuhi kebutuhan dari sudut pandang waktu untuk pemasaran. Dalam hal ini tingkat abstraksi yang lebih tinggi perlu bagi desainer untuk mengeksplorasi produktifitas desain pada tingkat sistem. Transaction Level Modeling (TLM) merupakan pendekatan dengan tingkat abstraksi lebih tinggi dari RTL.

RTL dan TLM memiliki gaya pemodelan yang berbeda, oleh karena itu hasil dari kedua pemodelan ini diperbandingkan untuk mengetahui fungsionalitas dari model yang dibangun. Dalam tugas akhir ini dibuat suatu pemodelan singlepurpose processor untuk menghitung least common multiple. Dari hasil pemodelan RTL dan TLM, keduanya telah menunjukkan bahwa fungsionalitasnya berjalan dengan baik. Perbedaan level abstraksi tidak mempengaruhi fungsionalitas system.Kata Kunci : embedded system, Register Transfer Level, Transaction Level Modeling, SystemC.ABSTRACT: The development of modern electronic equipment currently has a sophisticated functionality but also has a small size. This was triggered by the entry into force of Moore's Law so that the processing capacity of a chip can be increased. However, this processing capacity needs to be balanced by design productivity. One way to overcome the complexity of system design is to raise the level of abstraction of a system.

Traditional design flow modeling Register Transfer Level (RTL) is not able to meet the time to market. In this case a higher level of abstraction necessary for designers to explore the design space at the system level. Transaction Level Modeling (TLM) is an approach with a level of abstraction higher than RTL.

RTL and TLM has a different style of modeling, therefore the result of both this model are compared to determine the functionality of the model built. In this thesis, a model is single-purpose processor to calculate the least common multiple. From RTL and TLM modeling results, both have shown that the functionality goes well.Keyword: embedded system, Register Transfer Level, Transaction Level Modeling, SystemC.

Subjek

Sistem Komputer dan Jaringan Komputer
 

Katalog

Perbandingan Pemodelan Embedded System Berbasis Register Transfer Level Dan Transaction Level Modeling Dengan Studi Kasus : Single-Purpose Processor Untuk Least Common Multiple

The Comparison Of Embedded System Modeling Based On Register Transfer L
 
 
Indonesia

Sirkulasi

Rp. 0
Rp. 0
Tidak

Pengarang

Daniel Anggoro Setiawan
Perorangan
Maman Abdurohman, Endro Ariyanto
 

Penerbit

Universitas Telkom
Bandung
2010

Koleksi

Kompetensi

 

Download / Flippingbook

 

Ulasan

Belum ada ulasan yang diberikan
anda harus sign-in untuk memberikan ulasan ke katalog ini